Ознайомлення з середовищем проектування Aldec Active-HDL, моделювання та синтез простої схеми

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
КН
Кафедра:
БІК

Інформація про роботу

Рік:
2018
Тип роботи:
Лабораторна робота
Предмет:
Комп’ютерні методи аналізу та проектування електронних засобів
Група:
КББІ
Варіант:
13

Частина тексту файла

Міністерство освіти і науки України Національний Університет “Львівська Політехніка” Кафедра безпеки інформаційних технологій  Лабораторна робота № 1 з дисципліни «Комп’ютерні методи аналізу та проектування електронних засобів» Ознайомлення з середовищем проектування Aldec Active-HDL, моделювання та синтез простої схеми Варіант 13 Мета роботи – вивчення підходу до створення комп’ютерних пристроїв в програмованих логічних інтегральних схемах з використанням технології проектування програмних моделей комп’ютерних пристроїв, ознайомлення з середовищем проектування програмних моделей комп’ютерних пристроїв Aldec Active-HDL і набуття навиків моделювання і функціональної симуляції простих електронних схем мовою VHDL у цьому середовищі. Короткі теоретичні відомості Назва мови VHDL є скороченням виразу «Very high speed integrated circuits Hardware Description Language», що можна перекласти як «мова опису апаратних засобів у високошвидісних інтегральних схемах». На даний час діє стандарт VHDL, який описаний в документі ІЕЕЕ 1076-2008 що є промисловим стандартом, який широко використовується для опису роботи цифрових систем. Незважаючи на те, що мова VHDL нагадує мови програмування, вона має ряд важливих відмінностей, а саме: програмні моделі комп’ютерних пристроїв, створені за допомогою мови VHDL, мають, як правило, ієрархічну структуру; специфікації модулів VHDL-проектів можуть використовувати або математичні алгоритми, які описують їх роботу, або опис апаратної структури. В залежності від цього, опис модуля може мати поведінкову або структурну форму; моделювання алгоритму роботи пристрою базується на принципі управління за подіями; VHDL дозволяє виконувати моделювання паралельних процесів в електричних схемах цифрових пристроїв, здійснювати часовий аналіз сигналів і їх параметрів; VHDL підтримується рядом інструментальних засобів і систем автоматизованого проектування (САПР), які можуть створювати надвеликі інтегральні схеми (НВІС) шляхом синтезу програмних моделей комп’ютерних пристроїв, представлених на цій мові, в програмовних логічних інтегральних схемах; використовуючи VHDL, можна проектувати, моделювати і синтезувати в програмовних логічних інтегральних схемах практично будь-який комп’ютерний пристрій, від простої комбінаційної схеми до завершеної мікропроцесорної системи на НВІС. Інтерфейс описує входи і виходи пристрою. Архітектура описує будову пристрою. Архітектура може описувати будову пристрою на трьох рівнях: Поведінковому, коли описується алгоритм роботи пристрою. Структурному, коли описується структура пристрою на рівні його компонентів, які не є компонентами найнижчого рівня ієрархії, тобто містять вкладені компоненти. Міжрегістрових передач, коли описується структура пристрою на рівні елементів цифрової комп’ютерної схемотехніки – регістрів, мультиплекcорів, демультиплекcорів, шифраторів, дешифраторів, суматорів, логічних елементів тощо. Поведінковий рівень та рівень міжрегістрових передач використовують для опису пристрою та його компонентів на найнижчому рівні ієрархії, а структурний – для опису на вищих рівнях ієрархії, інтегруючи набір компонентів в один пристрій та описуючи зв’язки між компонентами. Оператори мови поділяються на послідовні і паралельні. Паралельні оператори вводяться для того, щоб відобразити паралельність обчислювальних процесів, що виконуються всередині пристрою. Складні паралельні оператори можна описати у вигляді процесу. Процеси виконуються паралельно, а оператори всередині процесів – послідовно. За допомогою процесів описують елементи схеми, які можуть працювати одночасно. Кожен паралельний оператор можна вважати процесом. Сигнали пов'язують між собою процеси. Вони є зовнішніми по відношенню до процесу, тобто процес може зчитувати сигнал і виводити значення в сигнал. Тому сигнали можна оголошувати тільки в області декларацій архітектури. Так само сигнали можуть зберігати значення, які необхідно передавати від одного процесу до іншого. Змінні використовуються всередині паралельн...
Антиботан аватар за замовчуванням

22.11.2019 12:11

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини